历史上的今天

今天是:2024年10月06日(星期日)

2018年10月06日 | STM32单片机按键消抖和FPGA按键消抖大全

发布者:trendsetter9 来源: eefocus关键字:STM32  单片机  按键消抖 手机看文章 扫描二维码
随时随地手机看文章

写在前面:


STM32单片机按键消抖和FPGA按键消抖大全

STM32单片机按键消抖和FPGA按键消抖大全


按键去抖:由上图可以看出理想波形与实际波形之间是有区别的,实际波形在按下和释放的瞬间都有抖动的现象,抖动时间的长短和按键的机械特性有关,一般为5~10ms。通常我们手动按键然后释放,这个动作中稳定闭合的时间超过了20ms。因此单片机在检测键盘是否按下时都要加上去抖动操作,有专用的去抖动电路,也有专门的去抖动芯片,但通常我们采用软件延时的方法就可以解决抖动问题。


1. 单片机中按键消抖程序

1.1  单片机中,比如STM32中,一般的方法(最简单的方法)

软件消抖程序:

   if(GPIO_ReadInputDataBit(GPIOA, GPIO_Pin_14)==1) 

                      {   

                               delay_ms(20);//延时20ms再去检测按键值

                  if(GPIO_ReadInputDataBit(GPIOA, GPIO_Pin_14)==0) // 相当于下降沿

{

KEY1 = 1;  //表示KEY1被按下

}

}

1.2 比较全面的按键消抖程序及按键状态检测程序


第一步:初始化全局时间戳的定时器,一般采用SysTick定时器来产生,每ms一次tick即可。


第二步:初始化按键对应的IO,复用为边沿触发的外部中断。


第三步:在外部中断函数中添加按键事件处理函数。

代码部分:

typedef struct _Key_t  

{  

    u32 last_time;  

    enum  

    {  

        May_Press,  

        Release,  

    }private_state;  

    enum  

    {  

        No_Press,  

        Short_Press,  

        Long_Press,  

    }state;  

}Key_t;  

  

#define Is_ShortPress_Threshold   1500  

简单定义一个按键状态的结构体,用于管理每个按键的状态。顺便再定义一个长短按的识别阈值,用于区分按键的长短按。


if(key_state.private_state==Release)                  

{  

    if(KEY==0)  

    {  

        key_state.private_state=May_Press;  

        key_state.last_time=course_ms();  

    }  

}  

else if(key_state.private_state==May_Press)  

{  

    if(KEY==1)  

    {  

        if((course_ms()-key_state.last_time>10)&&(course_ms()-key_state.last_time

        {  

            key_state.state=Short_Press;  

            key_state.private_state=Release;  

        }  

        else if(course_ms()-key_state.last_time>Is_ShortPress_Threshold)  

        {  

            key_state.state=Long_Press;  

            key_state.private_state=Release;  

        }  

        else  

            key_state.private_state=Release;  

    }  

}  

以上为需要添加到中断处理函数的按键事件处理函数,算法的核心是一个状态机。在本例中,按键被默认上拉,按下接地。course_ms()为获取全局时间戳的函数。

思路解释如下:按键状态结构体有一个用于识别的状态位,默认处于Release,也就是释放的状态。一旦按键被按下,中断触发,此时检查是否是Relase状态,如果是就检查按键是否被拉低,如果是,此时进入May_Press状态,也就是可能是按下的,并且记录此时的时间戳,这一步是消抖的关键。当按键被释放,由于是边沿触发,会再次进行处理,此时检查和上一次触发之间的时间戳之差,如果小于10ms我们就认为是抖动,此时不会对按键输出状态进行修改,而是直接将按键状态置回Relase状态,反之检查差值和长短按阈值之间的关系,将state置位为对应的状态。消抖的核心在于记录时间戳,而这只是一个简单的赋值操作,并不耗费时间。


效率上来说,延时消抖花费时间在无意义延时上,而相对较好的定时轮询还是不可避免的在轮询,而现在这种方式完全是中断性质的。唯一多出的开销(全局时间戳)并不是只可以用于按键消抖,另外在HAL库中存在直接获取tick的函数,这样实现就更方便了。经实际测试,消抖效果可以达到其他两种消抖算法的水平。


2. FPGA按键消抖程序

首先,做两个假定,以方便后面的描述


假定按键的默认状态为0,被按下后为1

假定按键抖动时长小于20ms,也即使用20ms的消抖时间

核心:方案


最容易想到的方案

    在按键电平稳定的情况下,当第一次检测到键位电平变化,开始20ms计时,计时时间到后将按键电平更新为当前电平


或许这才是最容易想的方案

    在20ms计时的过程中,有任何的电平变化都立即复位计时


消除按键反应延时抖方案

    在有电平变化时立即改变按键输出电平,并开始20ms计时,忽略这其中抖动


测试平台设计(修改代码以仿真的1us代替实际1ms)


无抖动 上升沿抖动5毫秒

下降沿抖动15毫秒

上升和下降沿均抖动19毫秒

  附加测试(可以不通过)


抖动25毫秒

代码


方案1


module debounce(

    input wire clk, nrst,

    input wire key_in,

    output reg key_out

    );


    // 20ms parameter

//    localparam TIME_20MS = 1_000_000;

    localparam TIME_20MS = 1_000;       // just for test


    // variable

    reg [20:0] cnt;

    reg key_cnt;

    

    // debounce time passed, refresh key state

    always @(posedge clk or negedge nrst) begin

        if(nrst == 0)

            key_out <= 0;

        else if(cnt == TIME_20MS - 1)

            key_out <= key_in;

    end


    // while in debounce state, count, otherwise 0

    always @(posedge clk or negedge nrst) begin

        if(nrst == 0)

            cnt <= 0;

        else if(key_cnt)

            cnt <= cnt + 1'b1;

        else

            cnt <= 0; 

    end

     

     // 

     always @(posedge clk or negedge nrst) begin

            if(nrst == 0)

                key_cnt <= 0;

            else if(key_cnt == 0 && key_in != key_out)

                key_cnt <= 1;

            else if(cnt == TIME_20MS - 1)

                key_cnt <= 0;

     end



方案2



module debounce(

    input wire clk, nrst,

    input wire key_in,

    output reg key_out

    );


//    localparam TIME_20MS = 1_000_000;

    localparam TIME_20MS = 1_000;


    reg key_cnt;

    reg [20:0] cnt;


    always @(posedge clk or negedge nrst) begin

        if(nrst == 0)

            key_cnt <= 0;

        else if(cnt == TIME_20MS - 1)

            key_cnt <= 0;

        else if(key_cnt == 0 && key_out != key_in)

            key_cnt <= 1;

    end


    always @(posedge clk or negedge nrst) begin

        if(nrst == 0)

            cnt <= 0;

        else if(key_cnt) begin

            if(key_out == key_in)

                cnt <= 0;

            else

                cnt <= cnt + 1'b1;

        end

        else

            cnt <= 0;

    end

     

     always @(posedge clk or negedge nrst) begin

            if(nrst == 0)

                key_out <= 0;

            else if(cnt == TIME_20MS - 1)

                key_out <= key_in;

     end


 


方案3

 


module debounce(

    input wire clk, nrst,

    input wire key_in,

    output reg key_out

    );


//    localparam TIME_20MS = 1_000_000;

    localparam TIME_20MS = 1_000;       // just for test


    reg key_cnt;

    reg [20:0] cnt;


    always @(posedge clk or negedge nrst) begin

        if(nrst == 0)

            key_cnt <= 0;

        else if(key_cnt == 0 && key_out != key_in)

            key_cnt <= 1;

        else if(cnt == TIME_20MS - 1)

            key_cnt <= 0;

    end


    always @(posedge clk or negedge nrst) begin

        if(nrst == 0)

            cnt <= 0;

        else if(key_cnt)

            cnt <= cnt + 1'b1;

        else

            cnt <= 0;

    end


    always @(posedge clk or negedge nrst) begin

        if(nrst == 0)

            key_out <= 0;

        else if(key_cnt == 0 && key_out != key_in)

            key_out <= key_in;

    end


 


测试代码


// 按键消抖测试电路


// 时间单位

`timescale 1ns/10ps


// module

module  debounce_tb;


    // time period parameter

    localparam T = 20;


    // variable

    reg clk, nrst;

    reg key_in;

    wire key_out;


    // instantiate

    debounce uut(

        .clk    (clk    ),

        .nrst   (nrst   ),

        .key_in (key_in ),

        .key_out(key_out)

    );


    // clock

    initial begin

        clk = 1;

        forever #(T/2) clk = ~clk;

    end


    // reset

    initial begin

        nrst = 1;

        @(negedge clk) nrst = 0;

        @(negedge clk) nrst = 1;

    end


    // key_in

    initial begin

        // initial value

        key_in = 0;

        

        // wait reset

        repeat(3) @(negedge clk);

        

        // no bounce

        // key down

        key_in = 1;


        // last 60ms

        repeat(3000) @(negedge clk);


        // key up

        key_in = 0;


        // wait 50ms

        repeat(2500) @(negedge clk);


        // down 5ms, up 15ms

        // key down, bounce 5ms

        repeat(251) @(negedge clk) key_in = ~key_in;


        // last 60ms

        repeat(3000) @(negedge clk);


        // key up, bounce 15ms

        repeat(751) @(negedge clk) key_in = ~key_in;


        // wait 50ms

        repeat(2500) @(negedge clk);


        // down 19ms, up 19ms

        // key down, bounce 19ms

        repeat(951) @(negedge clk) key_in = ~key_in;


        // last 60ms

        repeat(3000) @(negedge clk);


        // key up, bounce 19ms

        repeat(951) @(negedge clk) key_in = ~key_in;


        // wait 50ms

        repeat(2500) @(negedge clk);

        

        // additional, this situation shoud not ever happen

        // down 25ms, up 25ms

        // key down, bounce 25ms

        repeat(1251) @(negedge clk) key_in = ~key_in;


        // last 60ms

        repeat(3000) @(negedge clk);


        // key up, bounce 25ms

        repeat(1251) @(negedge clk) key_in = ~key_in;


        // wait 50ms

        repeat(2500) @(negedge clk);


        // stop

        $stop;

    end


 


放在最后的,并不一定是最不重要的


  对于上面的三种方案,我比较喜欢第三种方案,它更贴合实际的按键状态,以上的代码我都做过modelsim仿真,但还没有在实际的项目中验证。在整理准备这个博客的时候,我又想到了一个感觉是更巧妙的方案,具体是这样的:在第三个方案的基础上,因为按键输入有变化的第一时刻,输出就已经改变了,在这种情况下,我可以把计时的时长改为一个很小的值,该值只要比抖动中的最长高低电平变化时间长即可。但想想也没这个必要,且这个抖动的高低电平变化时长我也很难去给它界定一个值。


关键字:STM32  单片机  按键消抖 引用地址:STM32单片机按键消抖和FPGA按键消抖大全

上一篇:stm32的库文件的用法解释
下一篇:stm32单片机检测12V电路

推荐阅读

写在前面:“信号”可以单纯的理解为一个信号量(trig触发用),在任务1中传递一个信号给任务2,那么,任务2接收到这个信号,会往下执行。“消息邮箱”也可以理解为一个信号量,只不过这个消息可以携带内容:比如变量的值。一、事件——任务之间通信的中间环节任务间的同步依赖于任务间的通信。 在 UCOSII 中,是使用信号量、邮箱(消息邮箱)和消息队...
正受经济增长、城市化以及视频监控技术发展所驱动,全球视频监控市场保持续强劲的两位数增长,中国是其中最大、增长最快的市场,近年来表现持续强劲,发展速度超过全球其他地区。在技术和方案实践层面,随着智慧城市的兴起,中国也因快速发展和容量巨大,成为应用和锤炼全球最先进技术方案的场所。近年来,伴随无线通信技术的发展,以及人工智能等技术广泛...
  工业机器人目前在工业中逐渐适用,工业机器人将代替人工重复性劳动。现实中,更多工厂均采用进口工业机器人,那国产工业机器人与其到底有何差距呢?此外,目前工业机器人又有何应用呢?本文将揭露这些问题的答案。如果你对本文具有兴趣,不妨继续往下阅读哦。   一、国内外工业机器人差距分析   在我国工业机器人市场的需求一直在持续增长,但...
来源:学习军团·解放军新闻传播中心融媒体作者:解放军报记者 韩 成 通讯员 于 晨“机器人技术正在深刻改变着人类的生产和生活方式,中国空间站机械臂也助力我们完成了两次出舱任务。”9月中旬,在北京亦庄开幕的2021世界机器人大会上,神舟十二号飞行乘组3位航天员聂海胜、刘伯明、汤洪波从中国空间站传来“太空点赞”。被表扬的主角,是我国自主研...

史海拾趣

问答坊 | AI 解惑

收集的分立器件知识材料

本人收集的各种分立器件的基础知识,供大家参考!!…

查看全部问答∨

6.2--DIY进展汇报--PCB画好了

两天时间,PCB就把线给布好了,而且很不错,感谢网友DS,现在就是认真核对电路,防止出错! 请大家帮忙仔细检查该板子的原理图,昨天我就检查出来两个很明显的错误。 学习的朋友也加油弄懂电路的原理,有问题大家多多讨论。 原理图链接:http://bbs.e ...…

查看全部问答∨

国赛C题??!!

本帖最后由 paulhyde 于 2014-9-15 09:02 编辑 今年的c题和03年的B题有什么区别?加了“直流”,怎么理解?  …

查看全部问答∨

Emc 教程经典3-4章

Emc 教程经典3-4章…

查看全部问答∨

推荐一下嵌入式和WINCE的书吧

现在刚刚接触嵌入式,以前只有工控的经验,哪些书比较好一点?大学的时候研究过windows内核,对于操作系统也比较了解。对于嵌入式的开发环境和流程还不是很了解,希望能尽快入门,以后上来和大家一起讨论问题。…

查看全部问答∨

【求助】ARM控制SED1330LCD320*240出现这种现象是什么原因?

正常现象应该是:■■■■■■■■■■■■■■■■■■■■■■■■■■■■■■■■填满一行 实际的现象是:  ■  ■■■■■■■■■    ■■■■■■■■■■■■■■  ■■■■随机的消失几个出现到 ...…

查看全部问答∨

过年了,没啥事,散点分给大家吧!

祝大家牛年进步! 为了提倡环保,节省纸张,在春节千万别送我贺卡,请在尽可能大的人民币上写下祝福的话送我就可以了,节约是美德,祝春节快乐!…

查看全部问答∨

GPRS MODEM自动连接网络的问题

     已经新建立了一个连接,使用RasDial()函数连接到了 输入 at 指令的模块,问题是怎么让程序自动输入at指令 并且自动 按继续按钮连接上网呢?谢谢大家了. at指令是:at+cgdcont=1,"ip","cmnet" 和  atdt*99# ...…

查看全部问答∨

6410按键处理,有时候按下键没响应,有时候按下出好几个字符,求助大家!

我把6410的按键驱动映射为我需要的字符了,可是总是响应时有些问题,有时候按下键不能响应,有时候会出好几个字符,这是怎么回事啊,我应该修改哪些地方啊?…

查看全部问答∨

散分~增加人气

这里怎么没人气啊,帖子好少…

查看全部问答∨
小广播
设计资源 培训 开发板 精华推荐

最新单片机文章
何立民专栏 单片机及嵌入式宝典

北京航空航天大学教授,20余年来致力于单片机与嵌入式系统推广工作。

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京ICP证060456号 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved