历史上的今天

今天是:2024年09月28日(星期六)

2020年09月28日 | TCL科技收购中环电子100%股权已经完成交付

发布者:灵感狂舞 来源: 爱集微关键字:TCL 手机看文章 扫描二维码
随时随地手机看文章

9月27日,TCL科技发布公告称,公司已于近日支付了收购中环电子100%股权转让价款,交易中心已出具本次交易《国有产权交易凭证》。

据了解,中环电子于5月20日起在天津产权交易中心公开挂牌转让并依法定程序公开征集受让方,拟征集受让方一家,股权转让比例合计为100%(天津津智国有资本投资运营有限公司持有中环电子51%股权,天津渤海国有资产经营管理有限公司持有中环电子49%股权,合称“转让方”)(以下简称“标的股权”)。

7月15日,TCL科技收到了天津产权交易中心的通知:经评议小组评议并经转让方确认,公司成为标的股权的最终受让方,交易各方于7月17日签署了《产权交易合同》。

9月8日,国家市场监督管理总局和天津市国资委完成了本次交易的审批流程,本次交易的《产权交易合同》正式生效,转让金额为125亿元。

依据《产权交易合同》约定,TCL科技已于近日支付了全部股权转让价款,交易中心已出具本次交易《国有产权交易凭证》。自交易中心出具《国有产权交易凭证》之日起60个工作日内,本次交易双方共同配合中环电子完成本次股权转让变更登记手续。


关键字:TCL 引用地址:TCL科技收购中环电子100%股权已经完成交付

上一篇:疑华为nova8 Pro真机曝光 双挖孔+瀑布屏或在年底发布
下一篇:中国电信详解手机蓝牙名字由来,竟跟一位国王有关

推荐阅读

2018年9月18日,一年一度的上海FD-SOI论坛在上海准时举行,本次大会由SOI产业联盟、芯原控股有限公司、上海新傲科技股份有限公司、中国科学院上海微系统与信息技术研究所联合主办。会上,Blink副总裁Yantao Jia讲述了Blink曲折的发展历程,并介绍了公司的产品是如何通过FD-SOI实现超低功耗设计的。Blink副总裁Yantao JiaBlink成立于2009年,期初Blink并...
“我们处在一个伟大变革的时代,华为基于全场景智慧化战略,将以创新科技开启智慧屏新时代。”消费者业务CEO余承东曾将智慧屏战略提到高位。自此,期待便不绝于耳。华为在深圳总部举行终端沟通会,余承东在会上曾表示,华为将以创新科技开启智慧屏时代,大屏设备在全场景智慧化时代获得全新升级,以智慧交互中心、跨屏体验中心、loT控制中心、影音娱乐中心...
现在距离谷歌的Pixel 5和其他新设备的“发布之夜”还只有几天时间了,相信大家已经了解了大部分的主要细节信息。不过9to5google报道,他们直接从谷歌日本Twitter上获得了关于Pixel 5的另一个官方消息。在这段简短的视频中并没有提供太多的新细节,但通过对文本的粗略翻译可以清楚地看出谷歌将专注于5G和Pixel 5的“新设计”,至少在这次宣传中是这样的...
据清华大学智能产业研究院消息,昨日,在 2021 世界智能网联汽车大会上,全球首个车路协同 DAIR-V2X 数据集正式发布。  据介绍,这是全球首个基于真实道路场景打造的车路协同数据集。该数据集由清华大学智能产业研究院(AIR)联合北京市高级别自动驾驶示范区、北京车网科技发展有限公司共同发布,百度 Apollo 提供技术支持。  IT之家了解到,DAI...

史海拾趣

问答坊 | AI 解惑

晶振一个脚有波形,另一个脚没有

晶振一个脚有波形,另一个脚没有,电平倒是有的,咋回事呢?十块板子的现象都一样,请大侠赐教,谢谢!…

查看全部问答∨

帮忙解决下VHDL的问题(环境为quartusii7.2)

程序为顶层程序。如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity system is port (clk,fin,en,d,c,b,a:in std_logic;       n:in std_logic_vector(7 downto 0);   ...…

查看全部问答∨

关于从nandflash启动的前4K代码

我现在有个led流水灯的程序,大于 4K,S3C2440从nandflash启动时,前面有个引导码start.s,它负责硬件初始化并把之后的main函数COPY到SDRAM,资料上写2440会把前4K代码自动复制到SRAM上运行,现在有个疑问:之前这个start.s如果小于4K,那么这个4K就会 ...…

查看全部问答∨

新手请问2440一定要买JLINK吗

我想从头自学嵌入式,就在网上买了套MINI2440开发板,那个店主说调试要用到并口,我的电脑没有并口,一定要买那个JLINK吗,要180元,好贵。。。…

查看全部问答∨

做过WINCE下GSM完整电话功能的请进来联系

我要实现的功能: 1、        电话操作(包括打电话、接电话) 2、        短信操作(包括发短信、收新短信、删除一条指定的短信、接收短信的个数、取得所有短信、清除所有短信) 3、    & ...…

查看全部问答∨

关于《从单片机指针说到黑客程序》的疑问

看过《从单片机指针说到黑客程序》感觉作者说的 unsigned char code rst[]={0xe4,0xc0,0xe0,0xc0,0xe0,0x32}; // 复位代码 (*((void (*)())(&rst)))(); 反汇编后ljmp到一个奇怪的地址去,不明白作者什么意思。 我的反汇编是把rst的地址传给R1 ...…

查看全部问答∨

QuartusII Web版如何使能TalkBack,使用Signal Tap Logic Analyzer。

QuartusII Web版在使用软件自带的Signal Tap Logic Analyzer时,需要使能talkback功能,很多刚刚接触该软件的朋友可能会找不到该对话框在哪(例如我),现把我自己的发现贴出来,希望对大家有帮助。打开QuarrusII Web版软件,点击Toos-->License ...…

查看全部问答∨

STC89C52内部EEPROM的问题

怎么能将6000存到 0x2000里啊    存60可以  为什么存6000就不可以了呢…

查看全部问答∨

新兴嵌入式市场与技术机遇

  现在是一个非常好的时期,非常好的机遇。从我们的角度来看整个计算机工业,电脑工业通过几次变化,PC也发生很大变化,互联网计算机出现进入到移动互联网,最近是智能的手机又开始带来一场很大的变革。下面就由福州卓跃教育具体介绍。   ...…

查看全部问答∨

毕业在即,甩卖两个FPGA开发板

本帖最后由 ddllxxrr 于 2016-1-7 17:03 编辑 本站强荐:185娱乐I城.足球I真_人.彩票齐全I手机可投I注任何游戏. 首次开户送10元.首存送58元.信誉绝对保证185.cc …

查看全部问答∨
小广播
最新手机便携文章

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京ICP证060456号 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved