历史上的今天

今天是:2024年10月11日(星期五)

正在发生

2020年10月11日 | 一加平价真无线耳机OnePlus Buds Z外观解密

发布者:science56 来源: cnBeta关键字:一加 手机看文章 扫描二维码
随时随地手机看文章

OnePlus目前已经确定将在10月14日推出平价的OnePlus Buds,该公司可能会将其正式命名为OnePlus Buds Z,同时推出的还有OnePlus 8T和其他四款新产品。现在,爆料者Yogesh已经曝光了Buds Z的外观。

从图片中可以看出,OnePlus Buds Z与现有的Buds并没有类似的设计。你还会发现,Buds Z的外壳形状与OnePlus Buds外壳不同。即将推出的OnePlus Buds将达到IP55级别,这意味着它们具有防水功能,你可以在做运动时佩戴这款耳塞。即将推出的真正的无线耳塞仅重4.35克,而OnePlus Buds则重4.6克。OnePlus还声称,其即将推出的耳塞将带来沉浸式音频体验。除此之外,我们对OnePlus即将推出的真正的无线耳塞的规格了解不多。

关于OnePlus即将推出的OnePlus Buds Z,我们目前还没有太多信息,换句话说,价格、规格、供货情况等相关信息目前还不得而知。不过,由于OnePlus Buds Z将比该公司现有的Buds更实惠,我们可以预计其价格将低于79美元。它将在10月14日发布。


关键字:一加 引用地址:一加平价真无线耳机OnePlus Buds Z外观解密

上一篇:苹果研究怎样安全地以无线方式出示电子身份证
下一篇:部分Surface Duo塑料边框发黄,微软可退换货

推荐阅读

负责提供安全数字化体验的智能边缘平台阿卡迈技术公司(Akamai Technologies, Inc.,以下简称:Akamai)(NASDAQ:AKAM)今日宣布对其平台进行重大改进。这些更新可为那些通过Akamai Intelligent Edge Platform交付数字体验的企业提升洞察力、灵活性和品质,从而更好地保护和支持基于云的应用程序和基于Web的体验。这些新功能设计用于: ● 提升应用...
Orange France选用安森美半导体Wi-Fi芯片組用于新的Livebox 5网关 高性能芯片组传输性能高达2 Gbps,增强Wi-Fi联接和应用体验 安森美半导体 (ON Semiconductor) 旗下的Quantenna联接方案宣布欧洲领先的宽带服务供应商Orange France再次选择安森美半导体为其最新网关Livebox 5提供Wi-Fi芯片组。 新网关速度高达2 Gbps,让所有家庭成员都可以轻松...
此前,华为消费者业务首席执行官余承东保证,华为Mate 40系列将按计划到货。目前,这款手机很可能在10月中下旬与您会面。根据公司的官方账号日前报道,华为Mate 40系列将于10月15日发布,国行版本将推迟至10月22日。会首发配备66W快速充电。除了华为Mate 40系列,华为Nova 8系列和Honor V40系列也将在不久的将来发布。对此,华为终端回应称“等待好消...
三星 Exynos 官方微博预热光线追踪技术,光线追踪是桌面级 GPU 中支持的高级图形显示技术。  据悉,三星将和 AMD 合作推出新款 Exynos 旗舰处理器,将采用光线追踪技术和可变速率渲染功能。  三星表示:  光线追踪技术是桌面级 GPU 中支持的高级图形显示技术,通过计算光线位置及反射的区域,对该位置区域的像素进行逐个渲染,产...

史海拾趣

问答坊 | AI 解惑

【在测控系统中用IP核实现D/A转换】

摘要:采用数字化技术、在测控系统中用IP核实现D/A转换,并且在1片可编程逻辑器件中实现。它不受温度的影响,既可保持高分辨率,又可降低对电路精度和稳定度的要求,并减少元件的数量。 在各类电子系统中,数字电路所占比重越来越大。这主要是因为 ...…

查看全部问答∨

CE5+2440的IIC问题

小弟做的IIC读取温度的应用程序。运行我的应用程序后,系统拖死了,用示波器监测IIC波形是一直都在发送数据。但是运行网上下的IIC调试助手IICMgr_CE5.0.exe后,我的应用程序就正常了。即使把IICMgr_CE5.0.exe关了,再运行我的应用程序也正常的。 ...…

查看全部问答∨

wince5.0下每次开机都要重新载入硬盘文件系统

wince5.0下,写了个硬盘驱动,可以加载16G硬盘。但是每次开机都要等10分钟载入文件系统,串口输出为不停的读某些扇区,一直等读完以后才能显示盘符,才可以读写硬盘不知为何?求教!…

查看全部问答∨

欢迎加入c8051f群

这是一个面向c8051f的技术群,有热心的朋友和积极向上的氛围,如果您加入必然给您的c8051f的学习带来极大的帮助! 群一:3318950 群二:74346610 可以同时加入!…

查看全部问答∨

变频带绕线电机的问题

变频器(ABB ACS800)带转子短接绕线电机,变频总报过载或超速(下降时)故障!是否是电机的故障呢? 谢谢各位的回答!我这套起升机构变频使用了外置制动单元与制动电阻,实际检查线路发现转子有断线现象,处理后依然存在上述问题。检查变 ...…

查看全部问答∨

使用DMA+USART接收无固定长度的串行数据

在一些应用中MCU接收串行数据时,会出现接收到多个不同长度的数据流,由于STM32没有串行接收超时功能,这使得STM32的用户觉得不是很方便。为此我使用STM32的定时器实现接收无固定长度的串行数据,希望对STM32的用户有所帮助。 一、方案说明 ...…

查看全部问答∨

问一个有关vc33存储器配置的问题

我现在要设计一个vc33的应用系统,存储器分配如下400000--480000 为 EEPROM 程序存储器存储区 100000--180000  为 SRAM 数据,程序存储器 在设计时该如何管理/page0,/page1,/page2,/page3这些信号线? …

查看全部问答∨

出售剩余的高速DA芯片AD9772A和时钟合成芯片CDCM7005

本帖最后由 ddllxxrr 于 2016-1-7 17:09 编辑 这两种芯片都只有2片了,便宜卖给喜欢自己做东西的兄弟 这些都是做项目剩余下来得,经过我实际做板测试,确实都是原装的好芯片,AD9772A用带海绵的盒子包的好好的,当然已经开封过了,不然我自己也没 ...…

查看全部问答∨

怎么在这个程序里加蜂鸣器啊

 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity xiaoba10 isport(    clk:in std_logic;--    co  :out std_logic;--蜂鸣器 &nbs ...…

查看全部问答∨

【项目外包】FPGA中实现TCP/IP IPV4或者IPV6的协议栈

FPGA中实现TCP/IP IPV4或者IPV6的协议栈 项目预算:¥ 3,000~20,000 开发周期: 45天 项目分类: 嵌入式 竞标要求: 项目标签: Xil ...…

查看全部问答∨
小广播
最新手机便携文章
换一换 更多 相关热搜器件
随便看看

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京ICP证060456号 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved