(6)荧光灯电子镇流器电路之六
电路如图2-16所示。由电阻Ri、电容Cz和双向触发二极管VD6构成触发起振电路,
由三极管VTi、VTz及阻容、变压器T构成主振电路。
这里还没有内容,您有什么问题吗?
电子电路资源推荐
- ARM嵌入式体系结构与接口技术——Cortex-A9版
来源:下载中心
- altium designer 元件库(最全版)
来源:下载中心
- 了解和优化采样数据系统
来源:大学堂
- 开关电源原理与维修
来源:大学堂
- 戴维宁定理:概念介绍及其求解过程
来源:电路图
- 戴维宁定理:概念介绍及其求解过程
来源:电路图
推荐帖子 最新更新时间:2024-11-21 19:54
- 【求助】用Quartus II做一个计数状态机仿真
- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity FSM is port (clk,rese
- 1244334644Altera SoC
- 关于串口FIFO的问题
- 论坛里面的朋友们 你们那里谁有英飞凌XC2000 有关串口FIFO的例子 以及在DAvE中的配置详解 分享一下吧 关于串口FIFO的问题 这个我没有。 Hugo801122 发表于 2014-3-13 13:41 这个我没有。 阿偶!!找到了 告诉你~~~~~
- 1157421908单片机
- 外包医疗器械产品 可否不给对方提供源码
- 想请教下熟悉医疗器械送检的朋友,如果帮某个公司开发一款医疗器械产品,如果不提供软件源码对它产品送检有影响不?本人是打算只提供烧写文件。当然是想宁愿收费低一点也不开放源码出去。 外包医疗器械产品 可否不给对方提供源码 检验不涉及源码,只对成品整机做测试。 那提交资料
- tangwei8802429医疗电子
- altera的FPGA怎么实现PWM波形输出
- 没有提供IP核,看网上都是自己写的iP核,定时器IP核能不能实现,stm32的定时器可以实现PWM,不知道FPGA是怎么实现的,谢谢 altera的FPGA怎么实现PWM波形输出 先不急上马, 多了解一下pwm原理, 频率就是时间, 时间可以累加. 如果1.8v电源, 不知道用m
- tianma123FPGA/CPLD
- EVC安装的问题
- 安装程序会回滚。Setup encountered problems instlling some critical emulation components.机器上有VS2005 VC++ 和winCE6.0 是否存在兼容问题? EVC安装的问题 我前面装的PB是作为VS里面的
- kwok323嵌入式系统
- 手机巨头积极应对“能量缺口”
- 为了在手机领域扩大竞争优势,韩国三星电子日前宣布与美国MTI MicroFuel Cells Inc.结成独家联盟。后者是美国的一家初创公司,是用于移动应用的微型燃料电池供应商。 其它厂商也在寻求在燃料电池领域建立联盟。为了解决便携系统日益扩大的“能量缺口”,摩托罗拉去年对加拿
- soso电源技术
- AFE031芯片工作问题~急求急求
- 电源完整性设计详解
- 有用过AD9951的朋友请进,求指导,十万火急
- 万分焦急!!!
- 【iMOTION2GO套件】MCEDesigner软件的使用
- 【得捷电子Follow me第3期】任务总结
- 天线的基础知识
- platform builder4.2 如何调试驱动程序??
- 关于msp430 F149 12864串行通信
- DSP的外部存储器如何选择
- Windows Embedded Compact 7安装手记
- sys/bios的GPIO中断
- 泰克旗舰店六周年店庆,转发海报赢Apple AirPods!
- 【Follow me第二季第4期】Arduino_Nano_Connect板子开箱
- RSL10官方入门指南中的2处错误
- 【课后笔记】LaunchPad第三讲之低功耗设计
- 设备上WINCE 环境下怎样实现URL 连接服务器
- PCB设计经验(2)——布线基本要领
- [我自己理解的]PIC的BBS冷清的原因
- 积分式数字电压表设计